Das D-Typ-Flip-Flop in der digitalen Elektronik

Das D Typ Flip Flop In Der Digitalen Elektronik



Ein Flip-Flop ist eine digitale Schaltung, die ein Bit binärer Informationen speichert. Es gibt zwei stabile Zustände. Diese Zustände sind normalerweise 0 und 1. Sie können diese gespeicherten Bits ändern, indem Sie die verschiedenen Eingänge an die Flip-Flop-Schaltung anlegen. Flip-Flops und Latches sind die Grundlagen der Speicherverwaltung in jeder digitalen Schaltung. Beide fungieren als Datenspeicherelemente.

Flip-Flops werden zum Speichern von Daten und zur Steuerung des Informationsflusses in Computern und Kommunikationsgeräten verwendet. Im Gegensatz zu einem Flip-Flop kann ein Latch seinen Ausgang ändern, wenn ein bestimmter Eingang aktiv ist. Sowohl der Latch als auch das Flip-Flop sind unterschiedlich. Ein Latch ist pegelempfindlich, während das Flip-Flop flankenempfindlich ist.

Sie können einen Latch und ein Flip-Flop vergleichen, indem Sie sich ansehen, wie sie auf das Eingangssignal reagieren. Ein Latch ändert seinen Ausgang entsprechend dem Pegel des Eingangssignals. Das Signal am Eingang ist entweder hoch oder niedrig. Ein Flip-Flop ändert seinen Ausgang entsprechend dem Übergang des Eingangssignals. Das bedeutet, dass das Eingangssignal statt hoch und niedrig entweder steigend oder fallend ist.







Flip-Flops gibt es in verschiedenen Typen, z. B. als SR-, JK-, D- und T-Flip-Flop. In diesem Artikel wird das D-Typ-Flip-Flop ausführlich erläutert. Sie können das D-Typ-Flip-Flop mit einem SR-Flip-Flop entwerfen. Ein NICHT-Gatter muss zwischen den S- und R-Eingängen des D-Typ-Flip-Flops angeschlossen werden, und diese beiden Eingänge werden miteinander verbunden. Sie können das D-Typ-Flip-Flop anstelle von SR-Flip-Flops verwenden. Für diese Konfiguration benötigen Sie nur den SET- und RESET-Zustand.



Kurzer Überblick:



Was ist ein D-Typ-Flip-Flop?

Ein D-Typ-Flip-Flop (Verzögerungs-Flip-Flop) ist ein getaktetes digitales Schaltungselement mit zwei stabilen Zuständen. Dieser Flip-Flop-Typ verwendet eine Verzögerung von einem Taktzyklus an seinem Eingang. Aus diesem Grund können Sie mehrere D-Typ-Flip-Flops in einer Kaskade verbinden, um Verzögerungsschaltungen zu erstellen. D-Typ-Flip-Flops haben unterschiedliche Anwendungen, insbesondere in digitalen Fernsehsystemen.





D-Typ-Flip-Flop-Schaltung

Ein einfaches D-Typ-Flip-Flop enthält vier Eingänge und zwei Ausgänge. Diese Eingaben sind:



1. Daten

2. Uhr

3. Einstellen

4. Zurücksetzen

Die beiden Ausgänge eines D-Typ-Flip-Flops sind logisch invers zueinander. Die Eingangsdaten können entweder logisch 0 (Niederspannung) oder logisch 1 (Hochspannung) sein. Das Takteingangssignal synchronisiert das Flip-Flop mit einem externen Signal. Die beiden Eingänge Set und Reset werden auf niedrigem Logikpegel gehalten. Ein D-Flip-Flop hat zwei mögliche Zustände. Wenn der Dateneingang (D) des Flip-Flops 0 ist, wird das Flip-Flop zurückgesetzt und ein Ausgang von 0 ausgegeben. Wenn der Dateneingang (D) 1 ist, wird das Flip-Flop gesetzt und ein ausgegeben Ausgabe von 1.

Es ist wichtig zu beachten, dass sich das D-Typ-Flip-Flop von einem D-Typ-Latch unterscheidet. Ein D-Typ-Latch benötigt kein Taktsignal, aber ein D-Typ-Flip-Flop benötigt ein Taktsignal, um seinen Zustand zu ändern.

Sie können ein D-Flip-Flop mit einem Paar SR-Latches konstruieren. Für einen einzelnen Dateneingang ist auch eine umgekehrte Verbindung zwischen den S- und R-Eingängen erforderlich. Die S- und R-Eingänge können nicht gleichzeitig High oder Low sein. Ein Hauptvorteil eines D-Typ-Flip-Flops besteht darin, dass es einen Latch erzeugen kann, der Dateninformationen speichern und behalten kann. Sie können diese Latch-Eigenschaft eines D-Typ-Flip-Flops nutzen, um eine Verzögerungsschaltung zu erstellen und die Daten bei Bedarf zu verarbeiten. D-Typ-Flip-Flops werden hauptsächlich in Frequenzteilern und Datenlatches verwendet.

Zeitdiagramm

Lassen Sie uns das Zeitdiagramm von links nach rechts aufschlüsseln:

  • Zu Beginn des Zeitdiagramms ist die Q ist zunächst NIEDRIG. Wenn der SET kurzzeitig auf HIGH geht, Q wird HIGH und bleibt HIGH. Wenn andererseits der RESET kurzzeitig auf HIGH geht, Q wird LOW und bleibt LOW.
  • Änderungen der DATEN von LOW auf HIGH haben keinen Einfluss auf die Q . Der Ausgang reagiert nicht auf DATA-Änderungen. Da DATA HIGH ist, wird bei der Anstiegsflanke des ersten Taktimpulses Q wird HIGH. Allerdings ändern sich die DATEN vorübergehend wieder auf LOW und dann wieder auf HIGH. All dies hat keinen Einfluss auf die Q . Bei der ansteigenden Flanke des zweiten Taktimpulses ist DATA immer noch HIGH und die Q bleibt auch HIGH.
  • Übergang zur steigenden Flanke des dritten Taktimpulses, wenn DATA LOW ist, Q wird NIEDRIG. Im vierten und fünften Taktimpuls, wo die DATEN auf LOW bleiben, Q bleibt auch bei jeder steigenden Flanke LOW. Wenn schließlich die steigende Flanke kommt, sind die DATEN HIGH und Q geht auch auf HIGH.

Notiere dass der Q ist immer das Gegenteil von Q . Der SET-Eingang kann den Ausgang jederzeit auf HIGH setzen. Ebenso können Sie den RESET-Eingang verwenden, um den Ausgang jederzeit auf LOW zu schalten.

Wahrheitstabelle für das D-Typ-Flip-Flop

D-Typ-Flip-Flop-Eigenschaften können mithilfe der D-Flip-Flop-Wahrheitstabelle geschrieben werden. In der Wahrheitstabelle können wir sehen, dass wir eine Eingabe haben, die D ist. Ebenso haben wir nur eine Ausgabe, die Q(n+1) ist.

CLK D Q(n+1) Zustand
0 0 ZURÜCKSETZEN
1 1 SATZ

In der Charakteristiktabelle eines D-Typ-Flip-Flops haben wir zwei Eingänge, D und Qn. Die Merkmalstabelle hat einen Ausgang Q(n+1).

Aus dem D-Typ-Logikdiagramm können wir schließen, dass Qn und Qn‘ zwei komplementäre Ausgänge sind. Diese beiden Ausgänge fungieren auch als Eingänge für Gate 3 und Gate 4. Daher wird der Qn, der den aktuellen Zustand des Flip-Flops darstellt, als Eingang betrachtet und der Q(n+1), der den nächsten Zustand des Flip-Flops darstellt wird als Ausgabe betrachtet.

D Qn Q(n+1)
0 0 0
0 1 0
1 0 1
1 1 1

Mithilfe der charakteristischen Tabelle von D-Typ-Flip-Flops können wir den booleschen K-Map-Ausdruck aus einer K-Map mit zwei Variablen schreiben.

Master-Slave-Konfiguration eines D-Typ-Flipflops

Um das Verhalten eines D-Typ-Flipflops zu verbessern, können wir am Ende des D-Typ-Flipflop-Ausgangs ein zweites SR-Flipflop hinzufügen. Dies führt zur Aktivierung eines komplementären Taktsignals vom Ausgang eines D-Typ-Flip-Flops. Als Ergebnis wird ein Master-Slave-D-Flip-Flop gebildet. Wenn die Vorderflanke (Low-to-High) des Taktsignals kommt, wird der Eingangszustand am Master-Flip-Flop zwischengespeichert. Dabei wird der Ausgang des Master-D-Typ-Flipflops deaktiviert.

Wenn die abfallende oder abfallende Flanke (High-to-Low) des Taktsignals eintrifft, wird in ähnlicher Weise der Slave der zweiten Stufe aktiviert. Wenn der Taktimpuls von hoch auf niedrig wechselt (während eines negativen Impulses), ändert sich der Ausgang. Sie können die Master-Slave-D-Flip-Flops entwerfen, indem Sie die beiden Latches kaskadieren, wobei beide Latches entgegengesetzte Taktphasen haben.

Master-Slave-D-Flip-Flop-Schaltung

Anhand der D-Typ-Master-Slave-Schaltung können Sie also sehen, wie das Master-Flip-Flop Daten vom D-Eingang lädt, wenn der Taktimpuls in der D-Typ-Master-Slave-Schaltung ansteigt. Dadurch wird der Master eingeschaltet. Bei der zweiten Flanke (abfallende Flanke) des Taktimpulses lädt das Slave-Flip-Flop nun die Daten und schaltet den Slave ein.

Insgesamt führt diese Konfiguration dazu, dass ein Flip-Flop immer eingeschaltet ist, während das andere ausgeschaltet ist. Beachten Sie, dass der Ausgang Q dieser Master-Slave-Flip-Flop-Konfiguration nur dann den Wert von D erfasst, wenn ein vollständiger Taktimpulszyklus angelegt wird. Dieser vollständige Zyklus sollte sowohl eine steigende als auch eine fallende Flanke in der Konfiguration 0-1-0 enthalten.

D-Typ-Flip-Flop zur Frequenzteilung

Sie können das D-Typ-Flip-Flop auch als Frequenzteilerschaltung verwenden. Verbinden Sie den D-Flip-Flop-Ausgang Q direkt mit dem Eingang D. Dadurch entsteht ein geschlossenes Rückkopplungssystem. Alle zwei Zyklen von Taktimpulsen wird die Bistabilschaltung umgeschaltet.

Der Datenlatch kann auch als Binärteiler oder Frequenzteiler fungieren. Dadurch entsteht eine Zählerschaltung, die durch 2 dividiert. Dies bedeutet, dass die Ausgangsfrequenz gegenüber der Taktfrequenz halbiert wird.

Mit einem Rückkopplungsschleifensystem rund um das D-Typ-Flip-Flop können Sie auch verschiedene Arten von Flip-Flop-Schaltungen erstellen, z. B. T-Typ-Flip-Flops, auch bekannt als bistabile T-Typ-Flip-Flops. Dieses T-Flip-Flop in Binärzählern kann wie eine Division-durch-zwei-Schaltung funktionieren, wie unten dargestellt.

Aus der obigen Wellenform können wir schließen, dass, wenn der Ausgang Q als Rückmeldung an den Eingangsanschluss D gegeben wird, die Frequenz der Ausgangsimpulse bei Q genau der Hälfte (ƒ/2) der Eingangstaktfrequenz (ƒ) entspricht IN ). Mit anderen Worten erreicht diese Schaltung die Frequenzteilung, indem sie die Eingangsfrequenz durch den Faktor zwei teilt. Q geht alle zwei Taktzyklen auf 1.

D-Flip-Flops als Datenlatches

D-Flip-Flops können zusammen mit der Frequenzteilung auch als Datenlatches fungieren. Ein Data Latch ist ein Gerät, das die an seinem Eingang vorhandenen Daten speichert oder abruft. Es fungiert tatsächlich als Einzelbit-Speichergerät. Sie können ICs wie die leicht finden TTL 74LS74 oder der CMOS 4042 im Quad-Format. Diese ICs sind speziell für den Zweck der Datenspeicherung konzipiert.

Um einen 4-Bit-Datenlatch zu erstellen, verbinden Sie die vier 1-Bit-Datenlatches miteinander. Stellen Sie außerdem sicher, dass die Takteingänge aller dieser 1-Bit-Datenlatches miteinander verbunden und synchronisiert sind. Unten sehen Sie eine gegebene 4-Bit-Daten-Latch-Schaltung.

Transparenter Datenlatch

In der Elektronik und in digitalen Schaltkreisen finden Sie die zahlreichen Anwendungen von Data Latch. Mit Data Latch können Sie Pufferung, I/O-Port-Management, bidirektionale Bussteuerung und Anzeigesteuerung verwalten. Es ist so konzipiert, dass es an beiden Enden eine sehr hohe Ausgangsimpedanz bietet Q und seine Komplementausgabe Q . Dadurch werden Impedanzeffekte auf angeschlossene Schaltkreise minimiert.

Meistens werden Sie feststellen, dass einzelne 1-Bit-Datenlatches nicht häufig verwendet werden. Die im Handel erhältlichen ICs integrieren mehrere einzelne Daten-Latches (4, 8, 10, 16 oder 32) in einem einzigen Gehäuse. Ein Beispiel ist das 74LS373 Oktaler, transparenter D-Typ-Riegel.

Sie können sich das vorstellen 74LS373 als ein Gerät, das acht hat D-Typ-Flip-Flops im Inneren. Jedes Flip-Flop verfügt über einen Dateneingang D und eine Ausgabe Q . Wenn der Takteingang (CLK) HIGH ist, stimmt der Ausgang jedes Flip-Flops mit dem Dateneingang überein. Dies bedeutet, dass die Dateneingabe für die Ausgabe transparent bzw. sichtbar ist. In diesem offenen Zustand verläuft der Weg von D Eingang zu Q Die Ausgabe ist transparent. Dadurch ist ein ungehinderter Datenfluss möglich, weshalb der Name „Transparent Latch“ gegeben wird.

Wenn andererseits das Taktsignal LOW ist, schließt der Latch. Die Ausgabe bei Q wird auf den letzten Wert der vorhandenen Daten zwischengespeichert, bevor sich das Taktsignal ändert. An dieser Stelle, Q ändert sich als Reaktion darauf nicht mehr D .

D-Typ-Flip-Flop-ICs

Es gibt verschiedene Arten von D-Flip-Flop-ICs, die sowohl im TTL- als auch im CMOS-Gehäuse erhältlich sind. Der 74LS74 ist eine der am häufigsten verwendeten Optionen, die Sie in Betracht ziehen können. Dies ist der Dual-D-Flip-Flop-IC, der zwei einzelne D-Typ-Bistabile in einem einzigen Chip enthält. Damit können Sie einzelne oder Master-Slave-Umschalt-Flip-Flops erstellen.

Es sind auch einige andere D-Typ-Flip-Flop-IC-Schaltkreise erhältlich, wie das 74LS174 HEX D-Flip-Flop mit direktem Löscheingang. Ein weiterer D-Flip-Flop-IC ist der 74LS175 Quad-D-Flip-Flop mit komplementären Ausgängen. Das Octal-D-Typ-Flip-Flop 74LS273 verfügt über insgesamt 8 D-Typ-Flip-Flops. Alle diese acht Flip-Flops haben einen freien Eingang. Alle diese Eingänge sind in einem einzigen Paket verbunden.

Abschluss

Das D-Typ-Flip-Flop kann mit den beiden Rücken-an-Rücken-SR-Verriegelungen konstruiert werden. Zwischen den S- und R-Eingängen wird außerdem ein Wechselrichter verwendet. Dadurch wird eine einzelne D-Eingabe (Dateneingabe) ausgegeben. Sie können einem einfachen D-Typ-Flip-Flop ein zweites SR-Flip-Flop hinzufügen. Dadurch wird die Funktionsweise des D-Typ-Flipflops verbessert. Sie können dieses SR-Flip-Flop an den Ausgang des D-Typ-Flip-Flops anschließen. Es funktioniert nur, wenn das Taktsignal dem Original entgegengesetzt ist. Diese Konfiguration wird auch als Master-Slave-D-Flip-Flop bezeichnet.

Sowohl der D-Typ-Latch als auch ein D-Typ-Flip-Flop sind unterschiedlich. Der Latch verfügt über kein Taktsignal, während das D-Typ-Flip-Flop ein Taktsignal enthält. Das D-Flip-Flop ist ein flankengesteuertes Gerät. Die Steuerung der Eingangsdatenübertragung erfolgt über die steigende oder fallende Taktflanke. Auf der anderen Seite sind Datenlatches, wie der Datenlatch und der transparente Latch, pegelempfindliche Geräte.